TD4をSFLで書いてみた。その2

d:id:eggman:20091205:1260045315の続き
テストベンチっぽいものを書いた。テストベンチの構成は、m8の物ををパクってきた。

ソースコードgithubに置いときました。

board.sfl
CPUとROMを接続する。 ROMには半周期ずらすために反転クロックを入力する。
board.main
ROMファイルをロードして、クロック生成し、ダンプファイルとログファイルを出力する。
td4_led.mem
LEDチカチカ用ROMファイル
td4_timer.mem
ラーメンタイマ用ROMファイル

makeをすると、ログとダンプファイルができるので、gtkwave等で波形が見れます。

今度は3段パイプラインのプロセッサに挑戦してみる。